티스토리 뷰

728x90
반응형
// Code your testbench here
// or browse Examples
module tb_top;
   reg  clk;
   reg source;
  wire [3:0] cnt;
   reg rstn;
  
  initial begin
    clk = 1;
    forever begin
      #10 clk = ~clk;
    end
  end
  
  
  // Instantiate device under test
  pulse_counter u1(.source(source),
                   .cnt(cnt),
                   .clk(clk),
                   .rstn(rstn));
  
  initial begin
    $dumpfile("dump.vcd");
    $dumpvars(1, tb_top);
    
    rstn = 1;
    source = 0;
 
    #1 rstn = 0;
    #1 rstn = 1;
    
    #1 source = 1;
    #20 source = 0 ;
    #20 source = 1 ;
    #20 source = 0 ;
    #20 source = 0 ;
    #20 source = 0 ;
    #20 source = 1 ;
    #20 source = 0 ;
    #20 source = 1 ;
    #20 source = 0 ;
    #20 source = 1 ;
  end

  initial
#200 $finish;
  
endmodule
728x90
반응형
반응형
250x250
공지사항
최근에 올라온 글
최근에 달린 댓글
Total
Today
Yesterday
링크
«   2024/05   »
1 2 3 4
5 6 7 8 9 10 11
12 13 14 15 16 17 18
19 20 21 22 23 24 25
26 27 28 29 30 31
글 보관함